VSD - Static Timing Analysis - I

VLSI - Essential timing checks

4.38 (2463 reviews)
Udemy
platform
English
language
Design Tools
category
instructor
6,813
students
3.5 hours
content
Apr 2016
last update
$59.99
regular price

What you will learn

Understand various STA checks for timing closure

Able to do a quality analysis for real designs

Know-how on how real STA works in industries, something which you will not find in any books

Step-by-step and structured timing analysis

Description

Static timing analysis comprises broadly for timing checks, constraints and library. Having all of them in a single course makes it bulky. So we decided to have it in 3 parts and this is part I - Essential timing checks. This course will give an eagle's eye to every timing check that is being performed in current industries for sign-off. This will also introduce you to basic terminologies for timing, which are needed for advanced courses on STA.

Timing comes at every step of physical design flow, but in this course, we primarily focus on signoff timing i.e. looking into each and every corner of design for any timing violations

The course starts from very basic and gradually takes you to an advanced level at an intermediate pace. So no questions on you missing any details

Hope you enjoy learning this course in the same way we enjoyed making them.

Happy Learning !!

Content

Introduction and agenda

Introduction
Introduction to timing path and arrival time
Introduction to required time and slack
Introduction to basic categories of setup and hold analysis
Introduction to data check and latch timing
Introduction to slew, load and clock checks

First things first - Introduction to timing graph

Convert logic gates into nodes
Compute actual arrival time (AAT)
Compute required arrival time (RAT)
Compute slack and introduction to GBA-PBA analysis
Convert pins to nodes and compute AAT, RAT and slack

Clk-to-q delay, library setup, hold time and jitter

Introduction to transistor level circuit for flops
Negative and positive latch transistor level operation
Library setup time calculation
Clk-q delay calculation
Steps to create eye diagram for jitter analysis
Jitter extraction and accounting in setup timing analysis

Textual timing reports and hold analysis

Setup analysis - graphical to textual representation
Hold analysis with real clocks
Hold analysis - graphical to textual representation

On-chip variation

Sources of variation - etching
Sources of variation - oxide thickness
Relationship between resistance, drain current and delay

OCV timing and pessimism removal

OCV based setup timing analysis
Setup timing analysis after pessimism removal
OCV based hold timing analysis
Hold timing analysis after pessimism removal

Conclusion

Conclusion and next topics!!

Screenshots

VSD - Static Timing Analysis - I - Screenshot_01VSD - Static Timing Analysis - I - Screenshot_02VSD - Static Timing Analysis - I - Screenshot_03VSD - Static Timing Analysis - I - Screenshot_04

Reviews

Farhath
March 22, 2023
A beginner might find the course useful. If you already enrolled in CTS course by VSD, you can skip this course as chapter 3, 4 have the same content. Note to instructor: Please try to keep it short. You keep on repeating over and over in every course but skipping the important topics
Pratyush
February 27, 2023
The course is good. However, few simple assignments could have been provided to help the learner re evaluate himself/herself.
Ankur
October 27, 2022
I am a beginner in STA, hence went through the course and found its so understandable. Instructor remarkable explains all the respective topics. I am satisfied with it and looking forward to get part2 done.
Harshwardhan
September 25, 2022
This course gives the build-up for STA, concepts covered the basic idea in a very prominent & qualitative way!!
Mahalakshmi
September 10, 2022
Good course to start with STA. As a beginner, I feel its a great course to understand the basic stuff
Sandeepan
March 16, 2022
I come from an Electrical Engineering background, and I am currently in the semiconductor industry. These videos on Physical Design Flow, TCL, Static Timing Analysis by Kunal Sir has made me dive deeper into this domain. The video lectures not only provide a basic structure and understanding, but encourage in learning more. I am glad to have taken this course and have been fortunate in developing my VLSI based profile.
Ujjwal
January 8, 2022
The explanation of the timing analysis concepts are very clear and nicely represented through timing diagrams.
Prannoy
September 12, 2021
The course is very well designed considering the beginners and provides understanding of setup and hold time analysis which is a must to start with for a fresher in VLSI domain.
Harsh
July 29, 2021
It can be more intersting if instead of saying we will look into it later when the topic comes, and you actually tell about that concept. Otherwise the course is really really good!!
Shubhabrata
July 28, 2021
Way of teaching is just inexplicable. I am able to adopt each and every concept gingerly. Though I have not completed yet , I want to say that this course, everyone should invest their money and time on.
Shruti
July 26, 2021
The topics are unnecessarily broken down into smaller videos and ultimately two courses. They could have easily been covered in a single course. Also, the explanation could have been better.
Animesh
July 1, 2021
This course is good for those who are absolutely beginner in STA. Since i already had a good knowledge of STA so i did not find any thing new or great in this course. But for beginners its a good stat.
Shuvamoy
June 28, 2021
Excellent course. Excellent teaching. Learnt a lot in a day. Thanks Kunal Sir. Looking forward to complete the whole VSD courses.
Ravi
May 31, 2021
It's a good course for beginners. Some concepts are very well explained. Few topics could have been elaborated more. If you are a beginner, this course will definitely help you in building your basics in STA.
Rishav
May 11, 2021
It has been an experience rather than learning. As a student of VLSI design I would recommend this course to my classmates for sure.

Coupons

DateDiscountStatus
2/25/202089% OFF
expired

Charts

Price

VSD - Static Timing Analysis - I - Price chart

Rating

VSD - Static Timing Analysis - I - Ratings chart

Enrollment distribution

VSD - Static Timing Analysis - I - Distribution chart
751226
udemy ID
2/3/2016
course created date
11/24/2019
course indexed date
Bot
course submited by